CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 游戏 VHDL

搜索资源列表

  1. snake

    0下载:
  2. 用VHDL语言编写的贪吃蛇小游戏。利用有限状态机原理,对不同情况判断得到下一步操作参数。程序下载能够运行-VHDL language with the Snake game. Finite state machine theory, judging by the different operating parameters for the next step. Download to run
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1077915
    • 提供者:river
  1. 21POINT.tar

    0下载:
  2. 21点游戏的FPGA实现,使用VHDL语言,已经测试成功。-21-point game of the FPGA implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:325455
    • 提供者:heiscsy
  1. tanchishe

    0下载:
  2. 用VHDL语言编写的贪吃蛇小游戏,在开发板上验证过,可以很好运行-Snake with VHDL language game, the development board verified, well run
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1486649
    • 提供者:dingdanfei
  1. pptest

    0下载:
  2. vhdl代码的乒乓球游戏程序,使用de2平台验证-vhdl code of the table tennis games, platform verification using de2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:674978
    • 提供者:dingxing
  1. EDApingpongqiu

    0下载:
  2. 基于FPGA芯片,vhdl编写的乒乓球游戏,具有失球计数,指示乒乓球的方向,失球发声提示功能。-FPGA-based chip, vhdl writing table tennis game, with a clean sheet count, indicating the direction of table tennis, conceded voice prompts.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:317971
    • 提供者:
  1. pngpang(2)

    0下载:
  2. 用vhdl语言使用ise开发工具模拟两人乒乓球游戏,实现状态转换。-Ise vhdl language with development tools using two table tennis simulation game, to achieve the state transition.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1222
    • 提供者:李小小
  1. vgaball

    0下载:
  2. 用VHDL编写的小球游戏代码,用VGA显示,模块对vga控制器有很好的移植性-Written by VHDL balls of the game code, VGA display, module to the VGA controller have very good portability...
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3856785
    • 提供者:wu
  1. Picking-Bean-Game

    0下载:
  2. 吃豆子的小游戏,是一个可以控制小球沿路径吃豆子并且成长的游戏,利用时钟分频模块,VHDL语言编写,可以利用VGA模块在显示屏上显示-Pacman game Pacman can control a small ball along the path and growth of the game ........
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1880376
    • 提供者:Ming Yan
  1. PS2VGA_GAME_C5H

    0下载:
  2. 打砖块游戏 vga输出 ps2输入 vhdl源代码-vhdl game vgaoutput ps2 output
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:78418
    • 提供者:知府
  1. baheyouxiji

    1下载:
  2. 用vhdl实验板子实现用led灯和按钮实现拔河游戏,通过按键快慢来决定灯的移动顺序,从而获胜-bahe game for led
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-05-04
    • 文件大小:5965824
    • 提供者:快乐王
  1. VHDL_Snake_Game

    1下载:
  2. 在FPGA开发板上用VHDL语言实现了贪吃蛇游戏,开发软件为quartus 2.这是详细的实验报告,包括源码-Snake game with VHDL FPGA development board, software development quartus 2 This is a detailed experimental report, including the source
  3. 所属分类:VHDL编程

    • 发布日期:2012-12-07
    • 文件大小:2142600
    • 提供者:李守宪
  1. VVHDDLexampllH

    0下载:
  2. VHDL的49个源码实例,源码实例丰富,有计数器、状态机机、寄存器、汉明纠错码编码器、游戏源码程序源码 -49 of VHDL source instance, the source instance, counters, state machines machine registers, the Hamming error correction code encoder, the game source program source code
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-01
    • 文件大小:45116
    • 提供者:CerisTao
  1. Utannchishhes

    0下载:
  2. 用VHDL语言编程与开发在EPM7128SLC8—15数电实验开发板上实现贪吃吃蛇游戏源码,附有EPM7128SLC8—15数电实验开发板的样图 -VHDL programming and development EPM7128SLC8-15 the number of electrical experimental development board greedy eating snake game source, accompanied by the development board
  3. 所属分类:Windows Develop

    • 发布日期:2017-05-03
    • 文件大小:1076521
    • 提供者:空白
  1. tanchishe

    0下载:
  2. 用硬件描述语言VHDL编写的小游戏,可下载到实验板上实现在8*8的点阵上的贪吃蛇游戏-Written using a hardware descr iption language VHDL game can be downloaded to the experimental board to achieve the 8* 8 dot matrix, Snake game
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-14
    • 文件大小:577610
    • 提供者:王凯鹏
  1. tetrix

    0下载:
  2. 基于EXCD-1开发板的VHDL语言开发程序,用以实现俄罗斯方块游戏的设计的功能。-The VHDL development program based on the EXCD-1 development board to achieve Tetris game design function.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-06
    • 文件大小:19238
    • 提供者:徐世超
  1. BUPT-racing-car-game

    0下载:
  2. 北邮数电实验代码,VHDL,点阵赛车游戏-BUPT number of electric experimental code, VHDL, dot racing game
  3. 所属分类:Other systems

    • 发布日期:2017-11-17
    • 文件大小:599124
    • 提供者:zjy
  1. TEST

    1下载:
  2. 模仿打地鼠游戏 基于VHDL的代码 输出部分为HDMI-Hamster imitate playing game based on VHDL code
  3. 所属分类:Other Games

    • 发布日期:2017-05-21
    • 文件大小:50176
    • 提供者:黄端阳
  1. emg

    0下载:
  2. 游戏手柄控制fpga贪食蛇小游戏 挺有意思的一个小游戏。-fpga Snake game based on the vhdl and control by the gamepad. it is a fun game.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:
    • 文件大小:274586
    • 提供者:张洋洋
  1. moveophone

    0下载:
  2. 移动式的游戏控制器基于vhdl. 简单结构 目前只能识别led-Due to the recent trend in creating devices that allow the playing of games using movement rather than a traditional joystick, controller, or keyboard, we felt that a project that followed this idea would be interestin
  3. 所属分类:VHDL编程

    • 发布日期:2014-03-16
    • 文件大小:7315225
    • 提供者:张洋洋
  1. greedy_snake_vhdl

    0下载:
  2. 用VHDL实现的贪食蛇对战游戏,支持串口实现的联机对战-Battle using VHDL Snake games, support the serial realization of online battle
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-12-13
    • 文件大小:706560
    • 提供者:Zhang Le
« 1 2 3 4 56 7 »
搜珍网 www.dssz.com